Nalazite se na CroRIS probnoj okolini. Ovdje evidentirani podaci neće biti pohranjeni u Informacijskom sustavu znanosti RH. Ako je ovo greška, CroRIS produkcijskoj okolini moguće je pristupi putem poveznice www.croris.hr
izvor podataka: crosbi !

Implementacija profesionalnog modula PID regulatora u FPGA sklopu (CROSBI ID 358627)

Ocjenski rad | sveučilišni preddiplomski završni rad

Čeović, Josip Implementacija profesionalnog modula PID regulatora u FPGA sklopu / Petrović, Ivan (mentor); Kitanov, Andreja (neposredni voditelj). Zagreb, Fakultet elektrotehnike i računarstva, . 2009

Podaci o odgovornosti

Čeović, Josip

Petrović, Ivan

Kitanov, Andreja

hrvatski

Implementacija profesionalnog modula PID regulatora u FPGA sklopu

U industrijskim pogonima i u mnogim drugim primjenama upotrebljavaju se regulatori. Regulator je sastavni i bitan dio svakoga složenog upravljanja procesom. Regulator tvori regulacijsko odstupanje e(t) = r(t) − y(t) na osnovi kojeg se, prema određenom algoritmu, određuje u(t) - upravljačka veličina. Posebno mjesto među kontinuiranim regulatorima ima PID (proporcionalno – integracijsko – derivacijski) regulator. U industrijskim postrojenjima najčešće se koristi profesionalni programski modul PID regulatora. U takvom modulu PID regulatora trebale bi biti implementirane mnoge dodatne modifikacije i funkcije. Svaki proces i svaki uređaj ima tehnološka ograničenja. Pri projektiranju regulatora posebno treba voditi računa o ograničenjima izvršnog organa kojemu regulator prosljeđuje upravljački signal. S obzirom da PID regulator sadrži integralni član, on će nastaviti integrirati regulacijsko odstupanje pa izlaz regulatora u(t) može poprimiti vrlo veliku vrijednost. Pri smanjenju regulacijskog odstupanja regulator vrlo sporo izlazi iz ograničenja. Ovaj efekt se naziva “efektom zaleta“ (eng. “wind-up“ phenomen). U ovome radu implementiran je PID regulator sa dodatnom modifikacijom za sprečavanje “efekta zaleta“ na FPGA (eng. Field Programmable Gate Array) sklopu. Određen je matematički model procesa i parametri regulatora. Regulator je diskretiziran i napravljena je blokovska shema pomoću Xilinx Simulink blokova. Provedena je simulacija i nakon nekoliko modifikacija generirana je programska datoteka koja je nakon toga učitana u FPGA sklop.

PID regulator; efekt zaleta; FPGA; Xilinx; System Generator; Matlab; Simulink; Spartan-3E; Relejni postupak; Tustin

nije evidentirano

engleski

Implemetation of professional modul of PID controller in FPGA frame

nije evidentirano

PID regulator; "wind-up" phenomen; FPGA; Xilinx; System Generator; Matlab; Simulink; Spartan-3E; Relay tuning method; Tustin

nije evidentirano

Podaci o izdanju

27

17.07.2009.

obranjeno

Podaci o ustanovi koja je dodijelila akademski stupanj

Fakultet elektrotehnike i računarstva

Zagreb

Povezanost rada

Elektrotehnika, Računarstvo, Temeljne tehničke znanosti